Personal tools

Chiplets Technology

UC_Berkeley_101020A
[University of California at Berkeley]

 

- Overview

Chiplets are small modular chips that can be combined to form a complete system-on-chip (SoC). They are designed for chiplet-based architectures, where multiple chiplets are connected together to create a single complex integrated circuit. 

Chiplet-based architectures offer several advantages over traditional monolithic SoCs, including improved performance, lower power consumption, and increased design flexibility. Chiplet technology is relatively new and is being actively developed by many companies in the semiconductor industry. 

Chiplets are a new class of chips that pave the way for the design of complex SoCs. Chiplets can be thought of as a high-tech version of Lego. Complex functions are broken down into small modules and then chiplets that can perform a single specific function very efficiently. Therefore, an integrated system using chiplets can include: data storage, signal processing, computation, and data flow management, called "chiplets". 

 

- The Package Architectures

Chiplets are part of the package architecture and can be defined as physical silicon that encapsulates IP (intellectual property) subsystems with other chiplets using a package-level integration approach. We can say that chiplet technology integrates multiple electrical functions in a single package or system. 

Using chiplet technology, engineers can quickly and cost-effectively design complex chips by assembling different types of third-party IP into a single chip or package. These third-party IPs can be I/O drivers, memory ICs, and processor cores. 

The idea of chiplets originated from the DARPA CHIPS (Common Heterogeneous Integration and IP) project. Since state-of-the-art SoCs are not always acceptable for small-scale applications, in order to increase overall system flexibility, the CHIP program seeks to create a new paradigm of IP reuse known as chiplets. 

Although computing technology in most electronic devices today is still dominated by traditional chipsets, it is clear that this trend will change over time. Many experts believe that as these advanced technologies develop, dedicated chiplets will become a common feature in consumer devices. There are many reliable and cheaper technologies for designing chiplets. 

 

Iceland_082423A
[Iceland - Janis R.]

- To Extend Moore's Law

Moore's Law is Intel co-founder Gordon Moore's prediction in 1965 that the number of transistors on a microchip would double roughly every two years, leading to an exponential increase in computing power and lower costs. Chiplet technology can be seen as a way to continue Moore's Law and continue the trend of improving performance and reducing costs in the semiconductor industry. 

One way chiplet technology can help extend Moore's Law is by allowing the creation of more complex and powerful SoCs without having to fit all the necessary components onto a single monolithic chip. 

By breaking down complex SoCs into smaller modular chiplets and connecting them together, the number of transistors and other components can continue to be expanded without hitting the physical limits of a single chip. This helps keep pace with the performance improvements and cost reductions predicted by Moore's Law. 

Today, the heterogeneous chiplet integration market is growing even faster. Different microprocessors, such as AMD's Epyc and Intel's Lakefield, use chiplet design and heterogeneous integrated packaging technology to achieve high-volume production.

 

[More to come ...]


Document Actions